Talk:7 nm process

Page contents not supported in other languages.
From Wikipedia, the free encyclopedia

Delete proposal ~ 2011[edit]

There is no 8 nm page and then we have 6 nm, which is clearly uncharted territory, pending how scaling below 20 nm proceeds. 180.206.245.38 (talk) 15:04, 25 June 2011 (UTC)[reply]

CMOS does not have anything beyond 11nm.Its all speculation.semiconductor tech ends at 11nm.
from intel: https://www.zdnet.com/news/intel-scientists-find-wall-for-moores-law/133066 When the length of the gate gets below 5 nanometers, however, tunneling will begin to occur. Electrons will simply pass through the channel on their own, because the source and the drain will be extremely close. (A nanometer is a billionth of a meter.)
In chips made on a 16-nanometer technology process, the transistor gate will be about 5 nanometers long.
from kaku: According to Kaku, once we get down to 5nm processes for chip production, silicon is finished. Any smaller and processors will just overheat. http://www.geek.com/articles/chips/theoretical-physicist-explains-why-moores-law-will-collapse-20120430/ - 122.161.237.115 (talk) 07:40, 3 May 2012 (UTC)[reply]

Out of Date[edit]

Based on comments above coupled with citations from 2009 make it likely that there are changes in the probable fabrication technologies in the future. That leaves this article as mostly speculation on semiconductors. The transistor information would be left orphan. The article should be updated or submitted to AfD. Mikebar (talk) 16:47, 25 June 2012 (UTC)[reply]

End-user release[edit]

Currently the article says "current roadmap projects an end-user release by 2017". Sure their roadmap shows this date, but please *compare* the dates of already released silicon with this roadmap, and you will see this roadmap is always 1 year ahead of actual product shipment. So IMHO the referenced IDF roadmap does *not* show end-user release, but you can estimate that this will happen a year later than what the roadmap shows. --91.45.164.114 (talk) 17:41, 22 March 2014 (UTC)[reply]

All FinFET ?[edit]

Could clarify if all proposals and achievements are with finFET. - Rod57 (talk) 10:27, 16 June 2017 (UTC)[reply]

Update IBM plans ?[edit]

IBM Figures Out How to Make 5nm Chips. June 2017 says "7nm is only set to be commercialized in 2018 at IBM's Fab 8 manufacturing facility." - Could mention/expand ? - Rod57 (talk) 15:08, 16 June 2017 (UTC)[reply]

Process node table - Intel[edit]

Given the comment about Intel 10nm being comparable to the other's 7nm, and [1] perhaps we should have the Intel 10nm process (P1274/5) in the table until Intel start shipping their 7nm chips ? - Rod57 (talk) 13:27, 10 June 2018 (UTC)[reply]

I went ahead and added it back. This is the only way to be fair. These are somewhat marketing names and somewhat technical specs, but mostly misleading unless there is one table with all the data in one place. YouBloodyMook (talk) 06:32, 30 August 2018 (UTC)[reply]

Although the 10nm measurements may no longer be true. SemiAccurate stated that Intel wasn't able to fix their 10nm process (very low yield), and thus they are supposedly replacing it with an (effectively) 12nm process for the CPUs to be released in 2019, while still calling it 10nm.

— Pizzahut2 (talk) 11:33, 30 August 2018 (UTC)[reply]

If this information is no longer correct it should be removed from this table and a citation should be added to the 10nm article indicating it is no longer accurate. YouBloodyMook (talk) 12:05, 5 September 2018 (UTC)[reply]

The given 10nm measurements are correct for the few Cannon Lake CPUs which were available in May 2018 as part of a laptop.

As for 2019, we will have to wait until 10nm CPUs are released in that year, so someone who is able to can check. — Pizzahut2 (talk) 14:32, 5 September 2018 (UTC)[reply]

FWIW, the ITRS stuff is discussed in Talk:10_nm_process#comparison_section_is_garbage_-_discussion_-_revision.--Artoria2e5 🌉 09:51, 4 August 2020 (UTC)[reply]

ITRS logic device ground rules[edit]

Company, roadmap or paper ITRS logic device

ground rules (2015)[1]

Node range [nm] 16/14 11/10 8/7 6/5 4/3 3/2.5 2/1.5
Technology P70M56 P48M36 P42M24 P32M20 P24M12
G1
P24M12
G2
P24M12
G3
Transistor gate pitch / contacted poly pitch [nm] 70 48 42 32 24 24 24
Interconnect pitch / minimum metal pitch [nm] 56 36 24 20 12 12 12
FinFET fin pitch [nm] 42 36 24 N/A (end of 2D design)
FinFET fin width [nm] 8 6 6
FinFET fin height [nm] 42 42 42
LGAA lateral pitch [nm] N/A 24 20 N/A
LGAA vertical pitch [nm] 24 18
LGAA diameter [nm] 6 6
VGAA lateral pitch [nm] N/A 20 12 12 12
VGAA diameter [nm] 6 5 5 5

I noticed some values missing, also I wanted to have an overview, so I made this which may be useful for others. If you use this, then please check against the source whether the values are all correct. Mind that half-pitch values are doubled for the (full) pitch values in this table.

Another thing I noticed, at WikiChip a "Common Platform Alliance Paper" is used as reference. — Pizzahut2 (talk) 17:30, 18 August 2018 (UTC)[reply]

References

  1. ^ "INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2.0 ; 2015 EDITION ; EXECUTIVE REPORT" (PDF). Semiconductor Industry Association. Retrieved 2018-11-07. {{cite web}}: Cite has empty unknown parameter: |dead-url= (help)

Which foundries do Apple and AMD use[edit]

Which foundries do Apple and AMD use? It would be nice to be able to relate the product announcements to the foundry table later in the article. - Rod57 (talk) 18:43, 16 September 2018 (UTC)[reply]

AFAIK they both use TSMC for 7nm. Should be easy to find a source via Google, e.g.

— Pizzahut2 (talk) 19:22, 16 September 2018 (UTC)[reply]

Intel 7[edit]

For the Intel 7 process to be listed in the table at 7 nm process § 7 nm process nodes and process offerings, reliable sources must predominately describe Intel 7 as a 7 nm process. The only cited source is "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!" from AnandTech, which explains that Intel 7 is a rebranding of Intel's 10 nm Enhanced SuperFin node. The article also says "Alder Lake and Sapphire Rapids will now be known as Intel 7nm products". Are there any other reliable sources that cover this topic? How do they describe the Intel 7 process? — Newslinger talk 22:15, 19 September 2022 (UTC)[reply]

Thank you, I agree. I have been trying to explain this but others have refused to adhere to WP:RELIABLE and follow what sources state. On User:Artem S. Tashkinov's talk page, I have provided an extensive list of sources with quotes that describe Intel 7 as 10nm and Intel 4 as 7nm but they seem to not care about what the evidence says. LeaveMeB (talk) 17:00, 20 September 2022 (UTC)[reply]
None of the sources you've provided prove your point of view. I've asked you now several times about Intel 7 process' density and performance - and you have failed to prove this process is different from TSMC 7nm. Artem S. Tashkinov (talk) 18:34, 20 September 2022 (UTC)[reply]
Genuinely, are you illiterate? I have provided sources that explicitly state that Intel 7 is not 7nm but you can't seem to comprehend that fact. I doubt that you have even read the list of sources. You also don't seem to understand that there is no single definition of 7nm as it varies between fabs so you are trying create an impossible standard. Intel 7 is a newer 10nm node from 2021 compared to the original nodes from 2016 so it will naturally have improved power efficiency. A progression in the same 10nm node does not automatically make a newer 10nm node 7nm. I don't know if you are some Intel fanboy that can't cope with the fact that Intel 7 is 10nm or if you have genuinely bought in to Intel's marketing. Wikipedia should collect actual knowledge from sources rather than being your personal opinion outlet. You simply can't assert that Intel 7 is 7nm when sources don't support it. It's not that complicated. LeaveMeB (talk) 19:02, 20 September 2022 (UTC)[reply]
Please do not make personal attacks against other editors.
Wikipedia's policy against original research prohibits improper synthesis. Specifically, "Do not combine material from multiple sources to reach or imply a conclusion not explicitly stated by any source. Similarly, do not combine different parts of one source to reach or imply a conclusion not explicitly stated by the source. If one reliable source says A and another reliable source says B, do not join A and B together to imply a conclusion C not mentioned by either of the sources." Using other specifications of process offerings (e.g. density and performance) to determine whether a process offering is a 7 nm process would be a violation of the policy against original research.
We are looking specifically for reliable sources that explicitly describe Intel 7 as a 7 nm process, a 10 nm process, or some other process. — Newslinger talk 22:37, 20 September 2022 (UTC)[reply]
Read this article please, it pretty much shows the difference in standard between Intel and TSMC on what "nm" a process is. Alawadhi3000 (talk) 01:20, 21 September 2022 (UTC)[reply]
That article does not explicitly describe "Intel 7" as either a 7 nm or 10 nm process, and cannot be used in Wikipedia to support the claim that Intel 7 is a 7 nm or 10 nm process. As the verifiability policy states, "All quotations, and any material whose verifiability has been challenged or is likely to be challenged, must include an inline citation to a reliable source that directly supports the material" and "A source "directly supports" a given piece of material if the information is present explicitly in the source so that using this source to support the material is not a violation of Wikipedia:No original research".
Additionally, it is unclear whether SemiWiki is a reliable source, since wikis are generally unacceptable sources for citations on Wikipedia, and the site's about page does not mention editorial oversight. The reliability of SemiWiki is something that should probably be examined on the reliable sources noticeboard. — Newslinger talk 11:12, 21 September 2022 (UTC)[reply]
I didn't post that article because it says Intel7 is 7nm or 10nm, I posted that just to show how there are no standards regarding what "nm" a process is, each foundry has it own standards/marketing and they're not directly comparable. For example a foundry can claim that they're the first to volume produce a 2nm process and nobody can prove them wrong or right, sometimes its just pure marketing.
The author of that article concluded that Intel 10nm/10SF processes are equivalent to 7.1nm by TSMC standards and recommended that Intel renames 7nm to 4nm and 5nm to 2.5nm, the funny thing is that five months later Intel renamed 10ESF to Intel 7 and 7nm to Intel 4, like he recommended.
Whether you want to check if SemiWiki is reliable by Wikipedia standards thats up to you, you can pursue that if you want, the only thing I can tell you as someone who likes semiconductors tech is that I find them extremely reliable if not the best out of the few websites I use to read news about semiconductors tech. Alawadhi3000 (talk) 20:06, 21 September 2022 (UTC)[reply]
"A progression in the same 10nm node does not automatically make a newer 10nm node 7nm."
Here are a few examples of the past:-
Samsung 11LPP which is an improved 14nm process is marketed by them "11nm".
Samsung 8LPP which is an improved 10nm process is marketed by them "8nm".
Samsung 5LPE which is an improved 7nm process is marketed by them "5nm".
TSMC 22ULP which is an improved 28nm process is marketed by them as "22nm".
All your other points were replied to on Artem's talk page, which you still haven't responded to, pls explain how Intel's "10nm" process is over 100 MTr/mm2 and on par with TSMC/Samsung "7nm" processes in density while all other "10nm" process are half as dense, even Samsung's 8LPP which hit VM in 2018 (Same as 1st gen Intel's "10nm") are only ~60MTr/mm2. How is that even physically possible? Alawadhi3000 (talk) 01:15, 21 September 2022 (UTC)[reply]
PLEASE follow WP:RELIABLE and provide a source that describes Intel 7 as 7nm. There are none whereas I can provide a list of sources that all desribe Intel 7 as 10nm. You have to provide evidence that Intel 7 is 7nm rather than trying to do original research with leaps of logic to justify your personal opinion that Intel 7 is 7nm when it is not described as such by all sources. LeaveMeB (talk) 01:26, 21 September 2022 (UTC)[reply]
Sure, here is an article by Anandtech's Dr. Ian Cutress.
"Intel 7: Previously known as 10nm Enhanced Super Fin or 10ESF. Alder Lake and Sapphire Rapids will now be known as Intel 7nm products, showcasing a 10-15% performance per watt gain over 10SF due to transistor optimizations. Alder Lake is currently in volume production. Intel’s Xe-HP will now be known as an Intel 7 product." Alawadhi3000 (talk) 06:41, 21 September 2022 (UTC)[reply]
Thank you for finally providing at least one source. The first problem with that source is that it also says "Intel 4: Previously known as Intel 7nm" so if you are following that source, you would have to say that both Intel 7 and Intel 4 are 7nm when they are not the same node. Intel 4 is shown to be formerly known as 7nm in the Intel official slides included in the article. If the smaller node known as Intel 4 is clearly described as 7nm, then larger nodes like Intel 7 have to be above 7nm by definition.
Secondly, in the same source, it shows Intel "10nm" and "7nm" with the exact same 100.76 MTr/mm2 so it does not prove your point that nm processes are strictly defined by transistor density. Intel's 10nm, with a transistor density of 100.76 MTr/mm2, is in the same "10nm" category as TSMC with 52.51 MTr/mm2 and Samsung 51.82 MTr/mm2. The same is also true for "16/14nm" and "5/4nm". If you insist on Intel 7 being considered 7nm, then you would have to consider a process called "10nm SuperFin" to also be 7nm. Doing so would essentially mean that a 10nm Intel node doesn't exist. Transistor densities vary widely between fabs and even vary within nodes. TSMC and Samsung were the fabs that came out with 7nm first and the early versions of 7nm are less optimised compared o more mature modern iterations of the same 7nm proecss. TSMC and Samsung first came out with their 7nm in 2018 wheeras it took Intel until 2022 to reach 7nm with their Intel 4 process that began production in 2022. When it comes down to it, Intel 7 is a renamed version of "10nm Enhanced SuperFin" which has many similarities with the older "10nm SuperFin".
Now that you have recognised that you have to provide sources, you have to weigh sources that say one thing with sources that say something else. While there is this one source that has described Intel 7 as "7nm", there are many more sources that describe Intel 7 as 10nm and Intel 4 as 7nm. An outlier does not signify a trend. LeaveMeB (talk) 14:37, 21 September 2022 (UTC)[reply]
Intel does not currently say that Intel 4 is 7nm, it used to say that on July 2021 and earlier, currently they are implying that its 4nm from the Intel 4 name.
As for your second point, density is probably the main metric but not the only one, while its normal that density can vary slightly between fabs and processes but the jump from 50-60 MTr/mm2 to 100 MTr/mm2 is huge and not normal for two processes on the same node, and yes I do agree actually with 10SF being on 7nm page as well, thats why I said "an argument can be made for 10SF to be on the 7nm page since it has at least comparable density but lacks performance" on Artem's talk page. Also 10SF wasn't the first node that Intel at the time classified as 10nm, there was a node before it, 10SF was actually called 10+ indicating that its was a second gen process.
All the sources that say Intel 7 is 10nm are old and it was based on Intel itself calling it 10nm in the first place. Alawadhi3000 (talk) 20:34, 21 September 2022 (UTC)[reply]
Intel may imply that Intel 4 is 4nm but that is marketing. You even admitted that "Intel renamed 10ESF to Intel 7 and 7nm to Intel 4" to obscure their nm process and make each node appear smaller than they actually are when they are referred to as their former nm names. I don't care about what Intel markets their nodes as. Just because Intel 4 has a 4 in its name doesn't mean that it is 4nm and a 7 in the name of Intel 7 doesn't mean that it is 7nm. It may be that Intel thinks its 10nm process can compete with TSMC's 7nm process but that doesn't change reality.
"Intel does not currently say that Intel 4 is 7nm" The problem is that the official slides from Intel stating that Intel 4 is formerly 7nm actually do actually carry legal weight. Those slides have been vetted by lawyers before they are shown, just like how performance claims of CPUs are vetted to ensure they are not misleading. Intel cannot engage in deceptive marketing where they suddenly change what they say on a whim.
"All the sources that say Intel 7 is 10nm are old" That simply isn't true. The one source you provided was from July 2021, the exact same month where the many more 10nm sources are from. There are also more recent articles that still describe Intel 7 as 10nm:
  • September 8, 2022: "For this generation, Intel will be using its own “Intel 7” 10nm process node."
  • September 12, 2022: "They'll run on Intel 7 - a refined version of the Alder Lake 10nm node."
  • June 22, 2022: "Intel did finally move its desktop chips over to Intel 7 (aka third-generation 10nm) with Alder Lake last year" "Intel shared new details on its next major node, dubbed Intel 4. Before Intel rejigged its process node naming methodology, we would’ve referred to this as 7nm. Intel 4 is set to be a major step forward for the company and it looks to be tailored towards Intel’s strongest high-performance products."
  • June 13, 2022: "Previously known as Intel’s 7nm process, Intel 4 is Intel’s first time using EUV lithography for their chips." "While Intel has managed to make something suitable of their 10nm process nodes – especially with their most recent 10nm Enhanced SuperFin variant, which we better know as Intel 7"
LeaveMeB (talk) 17:25, 22 September 2022 (UTC)[reply]

Everything is marketing, that what we are telling you since the beginning, there are no standards nor private testing (that I know of) that can confirm each company claims, an example of that is Samsung 5LPE which they claim its the first 5nm process, yet they themselves admit that its an improved process based on the 7LPP "7nm" process, can anyone prove them wrong about it being 5nm? No, and every tech website calls it 5nm because Samsung said so.

Formally 7nm means only that it used to be that, that doesn't mean anything else. Specs, processes and almost anything in tech can change before it hits the market, thats normal in the tech world.

By old I meant based on old information provided by Intel like I explained in the next sentence. Alawadhi3000 (talk) 22:59, 23 September 2022 (UTC)[reply]

You haven't actually acknowledged my points and are accepting Intel's marketing that Intel 7 is 7nm when it is a renamed 10nm node. You are far too permissive of Intel's marketing while saying that every other fabrication's marketing is the problem. Intel is the outlier in this case when it comes to trying to pass off their 10nm node as equivalent to 7nm. Intel has also done the same marketing tricks with their 14nm+++++++ node. The Samsung example is not analogous because Intel themselves has admitted that Intel 7 is actually a remaned 10nm node, and all tech outlets correctly refer to Intel 7 as 10nm. Intel pretends that Intel 7, a 10nm node, is equivalent to their competitor's 7nm nodes for self-aggrandising marketing purposes and you shouldn't just take their word for it. You should follow what the sources state and they overwhelmingly refer to Intel 7 as a 10nm node because that is what it actually is. Again, please read WP:RELIABLE. All information must be backed up with sources and all of the sources do not support the notion that Intel 7 is 7nm. It's really not that complicated to provide evidence to support claims rather than going with convoluted intuitive reasoning. Wikipedia is about collecting information from various sources, not a personal pet project where you state what you think is true in your personal opinion. This entire thread was meant to discuss what the sources say but you have refused to talk about sources. LeaveMeB (talk) 01:20, 24 September 2022 (UTC)[reply]
The Samsung example is a perfect example that shows that there are no standards to classify what "nm" a process is, all the sources just relay whatever information the manufacturer puts out.
"Intel pretends that Intel 7, a 10nm node, is equivalent to their competitor's 7nm nodes for self-aggrandising marketing purposes and you shouldn't just take their word for it."
Just take their word for it?? What?? I discussed the density and performance and why its on par with TSMC & Samsung "7nm" processes just a few posts ago.
"You should follow what the sources state and they overwhelmingly refer to Intel 7 as a 10nm node because that is what it actually is. Again, please read WP:RELIABLE"
Maybe you should re-read the Age Matters section of that page.
"Wikipedia is about collecting information from various sources, not a personal pet project where you state what you think is true in your personal opinion"
Don't spin the things around, I'm not putting my personal opinions on Wikipedia.
"This entire thread was meant to discuss what the sources say but you have refused to talk about sources."
It must be another user who was discussing the sources with you then. I will repeat the question we asked you a lot before, please put a link where it shows how we can confirm what nm a process is or show the classification standards, hell even an independent testing by one of your sources that they did to confirm its actually 10nm and not 7nm rather than repeating old information Intel published a few years ago. I'm waiting. Alawadhi3000 (talk) 13:29, 24 September 2022 (UTC)[reply]
You are putting your personal opinions on Wikipedia when you insist, against all independent sources, that Intel 7 is 7nm because... there's a 7 in the name or something? You are in the minority here. Sources disagree with you but you aren't able to accept that. First of all, you are being dishonest on supposedly caring about the ages of sources when I provided sources from the last 3 months referring to Intel 7 as 10nm which you dismissed and couldn't address. While you insist that Intel has somehow changed their tune on what Intel 7 is from July 2021, you are yet to provide a source stating that Intel has explicitly retracted information. Such a notion that Intel can just change their public messaging on fabrication nodes on a whim is just laughable when the specific presentation and marketing claims Intel puts out are ruthlessly vetted by lawyers to ensure it isn't misleading.
Secondly, the massive number of sources alone should be enough to prove that Intel 7 is not 7nm but you are being irrational here so here is another metric that you will probably still deny. Alder Lake, which uses Intel 7, is reported as being 10nm by CPU-Z in this article. Intel 7 is recognised at the hardware level as still being 10nm rather than making excuses for Intel's marketing like you have been doing without a shred of self-awareness. LeaveMeB (talk) 14:21, 24 September 2022 (UTC)[reply]
I'm putting personal opinions on Wikipedia because there is a "7" in the name? What? Its Intel that rebranded their process as 7nm class, I'm just agreeing with them since the process density, performance, power ...etc is comparable to other 7nm processes, this was explained to you before but you still choose to spin things around and make it a personal opinion by me.
I dismissed & couldn't address your sources? I already told you that your sources information source is Intel old information which they have since updated, I asked you to provide a source that at least investigated the rebrand Intel did and you still didn't answer that, I asked you to provide the standards in which a process is classified nm wise and you still didn't answer that, I want concrete proof that Intel is lying, putting up sources that relay Intel old information is not proof. It seems that you have no problem with TSMC & Samsung rebranding processes into lower nm but when Intel does this its a no no.
CPU-Z read it as 10nm from the hardware level? You do realize that CPU-Z just detect the CPU by its UID and read most of the information from pre-programmed values by its author right?
Still waiting on my previous request. Alawadhi3000 (talk) 19:35, 24 September 2022 (UTC)[reply]
I'm genuinely losing my patience because you seem to not be aware of basic concepts like backing up claims with evidence while you dismiss any information you do not personally agree with as an "old" source. Stop with the excuses. You either accept what written sources say or you willingly live in a fantasy land. Sources simply do not support the notion that Intel 7 is 7nm because it isn't true. You are by definition just stating your personal opinion when you are making assertions based on how you feel while providing no substantial evidence as to why you believe it. You are simply agreeing with Intel marketing that it is 7nm because there is a 7 in the name rather than remembering that Intel 7 is a rebranding of the previous 10nm Enhanced SuperFin. The problem I have with this is that Intel have taken an old 10nm node, rebranded it and tried to pass it off as 7nm by implication when it is the exact same 10nm node in reality. Just because 10ESF was renamed to Intel 7 does not make it an entirely new node with a smaller process. You shouldn't be here if you are just interested in doing corporate PR. It doesn't matter what Intel's self-perceptions are, it matters what external third-party independent sources say.
The onus is on you to prove that Intel has changed their information since you made that assertion. PLEASE PROVIDE SOURCES saying that Intel 7 is 7nm. Intel can't just lie to investors that Intel 7 is 10nm and then decide it's actually 7nm. LeaveMeB (talk) 20:35, 24 September 2022 (UTC)[reply]
Lose your patience all you want, that will not change anything, learn to respect other members and debate like an adult.
You got the proof requirement backwards, you're the one who made a claim that the process isn't 7nm and you are the only who has to prove it. I asked you for proof and your response is 1) Intel used to put it under the 10nm family in the past so it should be 10nm forever & 2) There are a few sources that still list it as 10nm - even though these sources original source is Intel's old roadmaps which has since been updated - both of which are not proof of your claim.
Go back and read 1, 2 & 3, all of these authors/websites agree with Intel that Intel 7 process should be under the 7nm family.
I'm still waiting on my request for a 7nm process design guidelines/rules/specs or an independent testing by a reliable third-party that confirm the process is 10nm and not 7nm. Alawadhi3000 (talk) 13:13, 25 September 2022 (UTC)[reply]
I don't think you will ever be convinced because you have simply dismissed the many sources I provided as "old". You assert that Intel has changed its roadmap but you are yet to provide evidence of this. The entire idea of a roadmap is that it doesn't change on a whim such as deciding that Intel 7 is suddenly 7nm. Intel 7 is the exact same node in terms of specifications as 10nm SuperFin which had been in production since 2018. The sources you "provided" that state Intel 7 as 7nm are Wikis, not independent reliable sources like media outlets, something that Newslinger has previously mentioned to you. The AnandTech source also has problems, as has been highlighted to you before which you didn't seem to grasp, including the fact that Intel "10nm" and "7nm" has the exact same 100.76 MTr/mm2 transistor density. so it does not prove your point that nm processes are strictly defined by transistor density. You only citing 3 sources while I have triple that is just laughable. If there are just 3 sources stating something that disagrees with the majority of sources, then the majority of sources should still be followed. In addition to having many more sources that explicitly describe Intel 7 as 10nm, I also have sources that describe Intel 4 as 7nm which again adds further credibility.
Your request for 7nm process design guidelines is dishonest and impossible to fulfill because such a universal standard doesn't exist. The standard that it should be compared against is other Intel nodes because Intel's node design is wildly different from the other fabs like TSMC and Samsung. As an example, from your AnandTech source, Intel's 10nm, with a transistor density of 100.76 MTr/mm2, is in the same "10nm" category as TSMC with 52.51 MTr/mm2 and Samsung 51.82 MTr/mm2. Intel 7, which you are deluded on thinking it's 7nm, has the exact same 10nm metrics that 10nm SuperFin has, a node that Intel itself considers to be their equivalent of 10nm. It is also a ridiculous notion that an independent third-party can "test" and compare Intel's raw fabrication processes with controlled variables because Intel does not publicly release all of the specifications for its nodes for competitiveness reasons. LeaveMeB (talk) 16:42, 25 September 2022 (UTC)[reply]
All your sources original source is Intel themselves classifying the process as "10nm" in older roadmaps, when the original source -Intel- no longer back these claims then all these sources become outdated and incorrect, unless you can prove them to be correct by comparing it to a global guidelines/rules/specs or by third party testing/investigation or any other acceptable method, these request are not "dishonest", its common sense.
Roadmaps are targets/goals and they're put a few years before release, a lot can change between first announcement and release, thats why they update them every 1-2 year, with each update there can be changes, like delays, performance target changes, cancellation, introducing new processes... etc. As an example, Intel 7/10ESF wasn't even mentioned in the original 10nm announcement by Intel, they only talked up to 10++ which is 10SF.
Having a "wiki" as a part of their name doesn't make them pure wikis, perhaps you should navigate through these sites again.
If you cannot prove that Intel is wrong about reclassifying the process as "7nm" then they're not, again, simple common sense. Alawadhi3000 (talk) 06:19, 30 September 2022 (UTC)[reply]

As far as i know, on the launch of Intel 7 products and since the launch of Intel 7 products, every reliable source call Intel 7 a 7 nm process. Visite fortuitement prolongée (talk) 16:27, 5 October 2022 (UTC)[reply]

An IP wrote in the comment of special:diff/1114483896 « the majority of third party reliable sources descibe Intel 7 as 10nm » => List those reliable sources if you want to be taken seriously. Visite fortuitement prolongée (talk) 19:04, 6 October 2022 (UTC)[reply]

2A00:23C6:7F89:9001:887C:FA6C:631F:218 (talk) 19:45, 6 October 2022 (UTC)[reply]
Thank you for coming here.
  • « Intel 10nm Enhanced SuperFin has been renamed to Intel 7. » => This sentence do not say that Intel 7 is 10 nm.
  • « Intel's new foundry naming system sees its 10 nm Enhanced SuperFin node re-badge as "Intel 7." » => This sentence do not say that Intel 7 is 10 nm.
  • First time that i encounter hpcwire.com, i do not know if this is a reliable source. And this article was published before any processor made in Intel 7 was launched. And you do not quote any sentence.
  • This guru3d.com article was published before any processor made in Intel 7 was launched and do not say that Intel 7 is 10 nm. And you do not quote any sentence.
  • First time that i encounter digitaltrends.com, i do not know if this is a reliable source. And you do not quote any sentence.
  • First time that i encounter gsmarena.com, i do not know if this is a reliable source.
  • First time that i encounter extremetech.com, i do not know if this is a reliable source. And you do not quote any sentence.
  • « Previously known as Intel’s 7nm process, Intel 4 is Intel’s first time using EUV lithography for their chips. » => This sentence do not say that Intel 4 is 7 nm.
  • « While Intel has managed to make something suitable of their 10nm process nodes – especially with their most recent 10nm Enhanced SuperFin variant, which we better know as Intel 7 » => You take one point.
  • This theverge.com was published before any processor made in Intel 7 was launched.
  • « Intel 4 is also something that the company has previously referred to as its 7nm process node. » => This sentence do not say that Intel 4 is 7 nm.
  • I think that videocardz.com is not a reliable source.
  • « Intel 4, the semiconductor process technology formerly known as 7nm." » => This sentence do not say that Intel 4 is 7 nm.
Visite fortuitement prolongée (talk) 20:52, 6 October 2022 (UTC)[reply]
I know English clearly isn't your first language but you have seemingly misinterpreted almost all of the quotes provided. They all state that Intel 7 is the exact same process as 10nm Enhanced SUperFin but was renamed mainly for marketing purposes. Just because it has a different name does not automatically make it a smaller node or change its technical details. The exact same node that is called Intel 7 used to be called 10nm and the process hasn't fundamentally changed. Secondly, it is ridiculous to say that articles published before the launch of an Intel 7 proceessor have to all be discounted as the information has not changed. The sources I provided have ranged in date from July 2021 to September 2022 and they all correctly refer to Intel 7 as a 10nm node.
Thirdly, VideoCardz is considered a reliable source as it has been cited many times in articles. It is an incredibly mainstream tech outlet alongside Tom's Hardware, AnandTech and Ars Technica. You, on the other hand, are yet to provide any reliable sources that prove that Intel 7 is 7nm. Just like you previously said, "List those reliable sources if you want to be taken seriously". I've listed my sources, you need to list yours. Some of the unsourced assertions that intel 7 is 7nm just seems to be out of intuition and personal feelings because there is a 7 in the name and there are certain editors that feel like they are part of the Intel marketing team. It doesn't matter what Intel thinks or markets its nodes as, what matters is third-party media coverage in line with WP:RELIABLE. It is impossible for Intel 7 to be 7nm as it is the exact same 10nm process that Intel has been using since 2018. 2A00:23C6:7F89:9001:2458:257E:DCB8:6AD4 (talk) 17:44, 8 October 2022 (UTC)[reply]
Here are even more sources which refer to Alder Lake, processors built on Intel 7, as 10nm:
I also specifically included a source from November 4, 2021, when Alder Lake launched, that still refers to Intel 7 as 10nm so that you have no reason to whine about that. 2A00:23C6:7F89:9001:2458:257E:DCB8:6AD4 (talk) 18:28, 8 October 2022 (UTC)[reply]
You've been asked a dozen times already to provide sources which compare nodes/processes in terms of density, performance and power consumption. You continue to provide quotes none of which take this into consideration and only talk marketing terms this article couldn't care less about. Secondly, calling Intel 10ESF the same node as it was initially released over two years ago is quite insincere if not deceitful. Alder Lake boosts to 5.5GHz, Raptor Lake boosts to 6.0GHz which trumps TSMC's 7nm node. In some ways Intel 7nm (its 2022 raptor lake iteration) is better . 212.104.89.189 (talk) 08:55, 10 October 2022 (UTC)[reply]
You haven't actually addressed the sources just because they prove you wrong. You either believe in being objective and supporting claims with evidence or you don't. Clearly you do not and instead have an agenda to repeat Intel marketing. Stop repeating what Intel thinks or markets its own products as because you just seem completely sycophantic and anti-objective. One random user-edited wiki that says that Intel 7 is 7nm is not reliable when it in conflict of the overwhelming majority of other sources. From WP:VERIFY, "In the English Wikipedia, verifiability means other people using the encyclopedia can check that the information comes from a reliable source. Wikipedia does not publish original research. Its content is determined by previously published information rather than the beliefs or experiences of editors. Even if you are sure something is true, it must be verifiable before you can add it. If reliable sources disagree, then maintain a neutral point of view and present what the various sources say, giving each side its due weight." The minority of sources saying that Intel 7 is 7nm should be represented as they are - a minority opinion.
Intel 7 has the same transistor density as 10nm SuperFin, a process from 2018. 10SF has a significantly higher transistor density than TSMC's 10nm but it is still considered 10nm. Intel's 14nm had a higher transistor than Samsung's 14nm and it is still considered 14m. Intel's nodes are denser than TSMC or Samsung so Intel 7 should be compared against other Intel nodes and it is most comparable to 10nm SUperFin and 10nm Enhanced SuperFin. It is also not "insincere" to say that Intel 7 is a rebranded 10ESF when Intel themselves admitted that that's what Intel 7 is. It may only feel "insincere" to you because it puts your favourite massive daddy corporation in a bad light. Saying that Intel 7 is 7nm is like saying that Intel's 14nm+++++++ nonsense was somehow 5nm. Clock speed also does not scale linerarly with process or is not directly correlated with it. Intel on their 14nm++++++ Rocket Lake achieved much higher clocks than AMD's 14nm Ryzen 1000 processors.
I know you are probably Artem S. Tashkinov, after been blocked twice for disruptive edit-warring, hiding behind your Russian IP address based in Yekaterinburg, but you can't bully people until your minority personal opinions are represented as fact when they aren't true. You use the exact same sycophantic Intel fanboy marketing language as that vile disgusting cretin.
Sources don't support it and thus Intel 7 does not belong on the 7nm page. END OF. 2A00:23C6:7F89:9001:9CB9:7ECA:1DAA:6921 (talk) 15:45, 10 October 2022 (UTC)[reply]
1. ***Which exact sources*** dismiss Intel 7 as a 7nm class node in terms of density and performance? I don't see a single one. I'm glad the page is locked for editing because someone here is clearly with an agenda. 2. Why does Wikichip which is quite a reliable source talks about Intel 7 as a 7nm class node? 3. Who and on what what terms defines nodes? 4. Nodes have long been detached from any physical dimensions. The 7nm node has nothing in it which is 7nm in size. It's pure marketing anyways and if it is Intel 7 is definitely a 7nm process. Also, it's weird you're trying to insult all the editors here. I'm glad your account was banned indefinitely. Wikipedia is not a place for personal agenda, insults, toxicity and dox'ing. 81.222.184.185 (talk) 10:18, 11 October 2022 (UTC)[reply]
Intel is wrong, because Intel is right. It's a deadloop logic. Nothing in this process is measured by 10 or 7 nm. Both are just names, not measurements. So why is first one is right and second isn't? Elk Salmon (talk) 15:26, 1 October 2023 (UTC)[reply]

«I know English clearly isn't your first language but you have seemingly misinterpreted almost all of the quotes provided. They all state that Intel 7 is the exact same process as 10nm Enhanced SUperFin but was renamed mainly for marketing purposes.» => So you agree with me that those sentences do not say that Intel 7 is a 10 nm process? Visite fortuitement prolongée (talk) 21:46, 10 October 2022 (UTC)[reply]

Arbitrary break (2023)[edit]

I do also believe that "Intel 7" should be listed and linked as a 7nm process, not 10nm overall. Chip manufacturing nanometres are all marketing numbers since at least a decade ago anyway – i.e. there's nothing actually "7nm" about 7nm processes, like others have stated above.[1][2][3] We should refer to these semiconductor manufacturing nodes by what the company calls them, not what we believe them to be. Intel (most likely) decided to rebrand their 10nm ESF to "Intel 7" and their 7nm to "Intel 4", because those respective nodes really are much closer to 7nm and 5nm from competing foundries, than they are to 10nm and 7nm from them.[4][5] I actually sort-of predicted / thought that Intel should shift their node names like this one day, because their 10nm and better nodes tended to be almost an entire generation ahead of their competitors. It's also worth noting some of Samsung's nodes (e.g. 3nm) are much closer to previous gen nodes from TSMC than they are to the "same nm" node from TSMC, yet we still refer to them by what Samsung calls them. So yeah, refer to the nodes by what the company markets them as, not what we think of them as. — AP 499D25 (talk) 05:57, 31 July 2023 (UTC)[reply]

It is a violation of the original research policy to claim that Intel 7 is a 7 nm process due to the "7" in the product name, when this claim is unsupported by the set of reliable sources covering this topic. Since Wikipedia is not a vehicle for promotion, it would be inappropriate to "refer to the nodes by what the company markets them as" when reliable sources do not claim that Intel 7 is a 7 nm process, and especially when even Intel does not explicitly claim that Intel 7 is a 7 nm process. I've tagged this claim as disputed in the article in Special:Diff/1176511915. — Newslinger talk 05:33, 22 September 2023 (UTC)[reply]
Intel 7 is on par with TSMC N7 cf.
Visite fortuitement prolongée (talk) 13:53, 22 September 2023 (UTC)[reply]
None of the three links in your comment are to reliable sources. According to the verifiability policy, all content in Wikipedia articles must be verifiable to reliable sources and original research is prohibited. It does not matter if you personally believe Intel 7 to be "on par" with another foundry's 7 nm process, when reliable sources do not explicitly describe Intel 7 as a 7 nm process. — Newslinger talk 14:03, 22 September 2023 (UTC)[reply]
At this rate every FinFET process can potentially violate the OR policy as websites just repeat what the manufacturing companies advertises and delete the majority of citations on the 7nm, 5nm, 3nm and 2nm articles as they would most likely fail the verifiability policy. Alawadhi3000 (talk) 00:50, 23 September 2023 (UTC)[reply]
That is incorrect for the cases in which reliable sources explicitly describe the process as 7 nm or some other size. Per the policy against original research, "On Wikipedia, original research means material—such as facts, allegations, and ideas—for which no reliable, published source exists." If a reliable source explicitly describes a process as 7 nm or another size, that claim is not original research. However, assuming that Intel 7 is a 7 nm process due to the "7" in the product name in the absence of reliable sources that explicitly describe Intel 7 as a 7 nm process is original research. — Newslinger talk 01:01, 23 September 2023 (UTC)[reply]
"Intel 7: Previously known as 10nm Enhanced Super Fin or 10ESF. Alder Lake and Sapphire Rapids will now be known as Intel 7nm products"
https://www.anandtech.com/show/16823/intel-accelerated-offensive-process-roadmap-updates-to-10nm-7nm-4nm-3nm-20a-18a-packaging-foundry-emib-foveros Alawadhi3000 (talk) 01:15, 23 September 2023 (UTC)[reply]
That is contradicted by a newer AnandTech article that explicitly describes Intel 7 as 10 nm (emphasis added):

Intel’s 12th Gen Core (Alder Lake) series and the latest 13th Gen Core (Raptor Lake) are based on its Intel 7 manufacturing processor, although this isn’t to be confused with 7 nm, as Intel 7 is a 10 nm process node.

Bonshor, Gavin (20 October 2022). "Intel Core i9-13900K and i5-13600K Review: Raptor Lake Brings More Bite". AnandTech. Retrieved 23 September 2023.

There is clearly no consensus among reliable sources that Intel 7 is a 7 nm process, and the article should therefore avoid explicitly labeling or categorizing Intel 7 as 7 nm. — Newslinger talk 01:41, 23 September 2023 (UTC)[reply]
Some authors still refer to Intel processes using Intel's standards which are much more strict than others. What you say will be true if Intel's standards (aka Intel 7 = 10 nm) that you are referring to do equal other foundries standards (like TSMC and Samsung). They are not equal and therefore they cannot be compared using the advertised "nm". Alawadhi3000 (talk) 14:23, 23 September 2023 (UTC)[reply]
Per the verifiability policy, "verifiability means other people using the encyclopedia can check that the information comes from a reliable source" and "All material in Wikipedia mainspace, including everything in articles, lists, and captions, must be verifiable". Per the sources listed in this discussion, the claim that Intel 7 is a 7 nm process is not verifiable, as it is not "directly" supported by the preponderance of the sources listed in this discussion. In fact, there are more reliable sources listed in this discussion that describe Intel 7 as a 10 nm process than there are describing Intel 7 as a 7 nm process. This means the article cannot describe Intel 7 as a 7 nm process as it does currently, as it is a violation of both the verifiability policy and the policy against original research.
If reliable sources claim that Intel's 10 nm process is more advanced than some other foundry's 10 nm process, feel free to incorporate that information in the 10 nm process article with the proper sourcing; however, that does not change the fact that the preponderance of reliable sources contradicts the claim that Intel 7 is a 7 nm process. — Newslinger talk 22:11, 23 September 2023 (UTC)[reply]
A major issue here is that "10nm" and "7nm" are marketing names, as said out in my reply above. There's nothing about these TSMC, Intel, Samsung processes that are actually "7nm" in size (nor 10nm), backed up by the references that I added in that reply.
So if we refer to Intel 7 by the old metric 10nm, then really we are actually referring it by the old/previous marketing term, not an actual measurement.
The transistor density of Intel 7 (100-106 MTr/mm^2) is much closer to that of TSMC N7 (91-96 MTr/mm^2) and Samsung 7LPP (95-100 MTr/mm^2) than they are to TSMC N10 (52 MTr/mm^2) and Samsung 10LPP (51 MTr/mm^2), which is another reason why editors here decided to consider Intel 7 a 7nm process than a 10nm one. — AP 499D25 (talk) 01:55, 24 September 2023 (UTC)[reply]
A Wikipedia editor using the transistor density or other metrics of various process nodes to determine the size of the Intel 7 process is original research, which is not allowed to be cited in Wikipedia articles. The verifiability policy requires Wikipedia articles to be based on reliable sources, not your own calculations, and most reliable sources do not claim that Intel 7 is a 7 nm process.
If Intel 7 were listed in 10 nm process § 10 nm process nodes, or a new list article, readers would still be able to see the transistor density of the listed processes for themselves. However, without meeting the verifiability standard, this article cannot claim that Intel 7 is a 7 nm node like it is doing now. — Newslinger talk 02:13, 24 September 2023 (UTC)[reply]

So at 10nm Intel 7 process is bigger yet it magically does have about twice the transistor density as TSMC & Samsung 10nm processes? While it also still being more dense than some of the TSMC & Samsung 7nm processes? The laws of physics that we learned over the years must be broken then. If Intel 7 process cannot be on the 7nm page then it cannot be on the 10nm page either since the unit of measurement do not match between different foundries making them directly incomparable, we list each foundry process on its own foundry page. Alawadhi3000 (talk) 12:08, 24 September 2023 (UTC)[reply]

Your own calculations and measurements are original research and not relevant to this article. Because most of the reliable sources listed in this discussion describe Intel 7 as a 10 nm process, and not even Intel claims that Intel 7 is a 7 nm process, it is clear that this article (and any other article) cannot label Intel 7 as a 7 nm process without violating the verifiability policy.
Whether Intel 7 should be listed in 10 nm process § 10 nm process nodes is a discussion meant for either Talk:10 nm process or a broader noticeboard, not this page. There is no requirement that Intel 7 must be listed in either article. — Newslinger talk 02:05, 25 September 2023 (UTC)[reply]
These are not my calculations and measurements, these are facts and are backed up by reliable sources and even data on end-products. I asked you a simple question and I'll ask you again. How come the "10nm" Intel 7 process is bigger yet it magically does have about twice the transistor density as TSMC & Samsung 10nm processes? While it also still being more dense than some of the TSMC & Samsung 7nm processes? Alawadhi3000 (talk) 03:27, 25 September 2023 (UTC)[reply]
The transistor density of Intel's 10 nm node relative to the transistor density of competing 10 nm nodes is not relevant to Wikipedia's verifiability policy prohibiting this article from including claims that are not "directly" supported by reliable sources, including the claim that Intel 7 is a 7 nm process. "A source 'directly supports' a given piece of material if the information is present explicitly in the source so that using this source to support the material is not a violation of Wikipedia:No original research." Feel free to contact the authors and editors of the multiple reliable sources listed in the discussion to ask them why those sources describe Intel 7 as 10 nm. — Newslinger talk 11:34, 25 September 2023 (UTC)[reply]
The whole idea about the verifiability policy is to improve Wikipedia and increase its accuracy, not the other way around.
Here are a few sources that describe Intel 7 as 7 nm.
https://en.overclocking.com/engraving-where-does-intel-stand-against-tsmc/
https://www.sammobile.com/news/intel-plans-overtake-samsung-tsmc-1-8nm-chips-2025/
https://www.tomshardware.com/news/intel-process-packaging-roadmap-2025/
There are sources that describe Intel 4 (renamed from 7nm) as 4nm and tons of sources that describe Intel 20A (renamed from 5nm) as 2nm. Alawadhi3000 (talk) 19:07, 28 September 2023 (UTC)[reply]
Thank you for looking for sources instead of falling back to numerical comparisons.
There are far more reliable sources that explicitly describe Intel 7 as 10 nm, including but not limited to (emphasis added):
  1. BusinessKorea: "These five processes include Intel 7 at the 10-nm class, Intel 4 at the 7-nm class, Intel 3 at the 4-nm class, Intel 20A at the 2-nm class, and Intel 18A at the 1.8nm class."
  2. PCMag: "(The current 10nm process is dubbed "Intel 7"; company watchers know the numbers in its process names no longer correspond to nanometer measures.)"
  3. TweakTown: "On the mobile side, the new Meteor Lake Core Ultra CPUs use the new 7nm Intel 4 process that will deliver 20% better performance per watt than the 10nm Intel 7 process and a design that combines multiple component tiles for a customizable disaggregated design."
  4. SamMobile (contradicting your SamMobile article): "All the laptops in the Galaxy Book 2 series feature Intel's 12th Gen CPUs with a 10nm fabrication process (also known as Intel 7)."
  5. The Verge: "MSI also reveals that there are no architectural changes to Intel’s 14th Gen chips, so they’re built on the same Intel 7 (10nm) process as existing 13th Gen chips."
  6. XDA Developers: "Intel is still using a 10nm process, although Intel has revamped it, and now calls it Intel 7."
  7. ExtremeTech: "The CPU tile is supposed to be the result of a node jump, going from Intel 7 (10nm) to Intel 4 (7nm)."
  8. Engadget: "(The Intel 7 process is still 10nm, following its rebranding last year.)"
  9. IGN India: "...they will follow the same Intel 7 10nm process with a higher DDR5 frequency support."
  10. How to Geek: "They'll also still be on Intel 7, which is a 10nm process..."
  11. Adrenaline: "Ele também apresenta duas matrizes de E/S feitas com Intel 7 (10nm)." ("It also features two I/O dies made with Intel 7 (10nm).")
  12. Genk: "Các chip này sử dụng chiplet CPU với tiến trình Intel 3 kết hợp với chiplet I/O đôi dựa trên Intel 7 (10nm) để cung cấp kiến trúc linh hoạt có thể mở rộng quy mô tới số lượng lõi cao hơn bằng cách bổ sung thêm nhiều chiplet." ("These chips use a CPU chiplet with Intel 3 process combined with a dual I/O chiplet based on Intel 7 (10nm) to provide a flexible architecture that can scale to higher core counts by adding add more chiplets.")
This is in addition to all of the articles posted by LeaveMeB (talk · contribs) and 2A00:23C6:7F89:9001::/64 (talk · contribs) above that also describe Intel 7 as 10 nm.
In response to one of your sources, Overclocking.com's nondescript "Team" page describes a lack of editorial oversight; the website is likely to be a self-published group blog instead of a reliable source. Even if it were considered reliable, there are two other Overclocking.com articles that describe Intel 7 as 10 nm (emphasis added):
In conclusion, the available reliable sources do not support conclusively describing Intel 7 as 7 nm. At the very minimum, per the due weight policy, such a description (which includes the inclusion of Intel 7 in the table at 7 nm process § 7 nm process nodes and process offerings) should be labeled as disputed in the article text. Based on the weight of the reliable sources presented so far, it would be more appropriate to list Intel 7 at 10 nm process § 10 nm process nodes with the disputed label there. It is telling that Intel, the manufacturer, avoids describing Intel 7 as 7 nm; had they done so, this entire dispute would not have happened. — Newslinger talk 23:40, 28 September 2023 (UTC) Edited 02:22, 29 September 2023 (UTC)[reply]
I'm not sure how you can claim these as reliable sources when they refer to Intel 7 as 10nm while also referring to Intel 20A (a process renamed from "Intel 5nm") as 2nm. If Intel 7 is 10nm then Intel 20A is 5nm, here is a quick search result just to show you these "reliable sources" do not have any idea what they are writing about.
https://www.businesskorea.co.kr/news/articleView.html?idxno=202286 "Intel held the “Intel Innovation 2023” event in San Jose, California, on Sept. 19 (local time), where it unveiled its first-ever 1.8-nm wafer prototype. The 1.8-nm wafer is a product that Intel is planning to mass produce by 2025. Intel 20A at the 2-nm class, and Intel 18A at the 1.8nm class"
https://www.pcmag.com/encyclopedia/term/intel-20a "(Intel 20Angstroms) Intel's branding for its 2 nm process technology."
https://www.tweaktown.com/news/80810/intel-retires-scheme-7-4-3-20a-nodes/index.html "Intel's new 20A is 2nm with truly next-gen RibbonFET transistors"
https://www.theverge.com/2021/7/26/22594074/intel-acclerated-new-architecture-roadmap-naming-7nm-2025 "The “20A” in the title is meant to evoke the Ångstrom era of semiconductor design — an Ångstrom being a unit of measurement smaller than nanometer. (20Å = 2nm)"
https://www.xda-developers.com/intel-roadmap-2025-explainer/ "20A (the company's 2nm process) is said to be where Intel will reach "process parity" and will debut with Arrow Lake"
https://www.extremetech.com/computing/343618-intel-has-finalized-plans-for-its-upcoming-20a-and-18a-angstrom-nodes "Then the big one comes; Intel 20A (2nm). This is when Intel moves away from FinFET in favor of RibbonFET Gate-All-Around (GAA) transistors"
https://www.engadget.com/intel-laid-out-an-aggressive-plan-to-build-angstrom-scale-transistors-within-the-next-five-years-180020485.html "and a "20A" for angstrom node. This is one ten-billionth of a meter (meaning it's 2nm)"
https://www.adrenaline.com.br/intel/intel-finaliza-especificacoes-dos-processos-de-producao-de-nos-de-1-8-nm-e-2-nm/ "A Intel finalizou a etapa de desenvolvimento das suas linhas 20A e 18A, que trarão as classes 2.0nm e 1.8nm respectivamente"
BTW earlier in the discussion you dismissed my Anandtech source with a newer Anandtech article that says Intel 7 is 10nm, and now you use an older SamMobile article to do the same, thats a clear double standard. Alawadhi3000 (talk) 09:27, 2 October 2023 (UTC)[reply]
It's worth pointing out that the Intel 7, Intel 4 and Intel 3 process nodes do not have "nm" or any sort of unit in the name whatsoever, whereas 20A and 18A have "Angstrom" in the name, which is a unit that is equivalent to 0.1 nanometres.
I think Intel 20A/18A is going to be a bit of a different topic, as we'll need to evaluate how many sources call it 5nm and how many actually call it 2nm, separately from this one.
Fact of the matter is, looking at just Intel 7 only, based on the plentiful number of various sources shown in previous replies here, a good majority of them consider it a 10nm process than a 7nm one. — AP 499D25 (talk) 09:46, 2 October 2023 (UTC)[reply]
The Intel 20A & 18A does not have angstrom in the name as well, its an "A" in the name and not "Å".
What process goes in which article should be decided on whats right, not a popularity contest, in the end we are all trying to improve Wikipedia articles.
Why we should believe/allow all companies except one to market their process as 7nm but not one company even if their process is comparable in PPA (power, performance and area), in the end not even one process in this article is actually 7nm, they are all ~16nm. Alawadhi3000 (talk) 09:23, 4 October 2023 (UTC)[reply]
Samsung's "7LPP" does not have a unit of measurement, either. LPP stands for "low power plus." But everyone knows why Samsung put a "7" in the name. For that matter, "N7" and "N7+" do not have a unit of measurement, either, but again, there is no ambiguity about what the "7" means.
Now, you need a consistent definition of "what's right." There are a few ways to do this. One is to use ITRS roadmaps rigorously and ignore corporate branding. But, if that's how you're going to do it, you need to be consistent. You need a group decision to decide when roadmap revisions get applied to process nodes, and how. If Intel's adherence to old roadmaps for its process node naming is "ground truth," even when it chooses to update its branding to be consistent with more modern roadmaps, then that goes for everybody, and 14LPE, 16FF, N7, and so on all need to be reclassified.
Samsung choosing to brand their 14LPE process the way they did is legitimate according to the 2013 roadmap, even though according to the 2009 roadmap, it's "really" a 22nm process. The point, though, is that if Wikipedia editors are going to override how the foundry labels its process, this needs to be consistent across the board, not only applied to Intel.
The alternative is to continue using the standard already used for the Samsung and TSMC 14/16nm process - simply accept the foundry's own choice of which roadmap to apply to its own branding. Since this was already done for Samsung, TSMC, GlobalFoundries, and SMIC, it seems appropriate to do this for Intel as well.
What is not right is using news articles from sources like PCMag or TomsHardware as engineering authorities. Gaming hardware reviewers are not international standards committees and really not appropriate sources for engineering quantities of interest. 68.203.16.13 (talk) 14:55, 30 October 2023 (UTC)[reply]
Here are some sources that I think would be helpful:
https://www.intel.com/content/dam/www/central-libraries/us/en/documents/accelerating-process-innovation-fact-sheet.pdf
https://semiwiki.com/semiconductor-manufacturers/intel/295767-intel-nodes/
https://www.intel.com/content/dam/www/central-libraries/us/en/documents/semiconductors-and-intel-introduction.pdf
Arguably, this entire wiki page is based on wrong information. None of the companies actually use "nm" - TSMC would refer to their nodes as N7, N6, N3B, N3E, etc. and does not use nanometers. Intel stopped using nanometers as well.
https://semiwiki.com/semiconductor-services/techinsights/301376-intel-accelerated/
https://semiwiki.com/semiconductor-manufacturers/intel/301703-highlights-of-the-intel-accelerated-roadmap-presentation/
These two articles provide excellent explanations of the renaming and it is noted that the naming has been updated to better align with other foundry naming conventions.
I also like this article:
https://www.extremetech.com/computing/296154-how-are-process-nodes-defined
Its conclusion, as follows:
"We have created a new manufacturing process with smaller features and tighter tolerances. In order to achieve this goal, we have integrated new manufacturing technologies. We refer to this set of new manufacturing technologies as a process node because we want an umbrella term that allows us to capture the idea of progress and improved capability." This could not be more true about what we are arguing over. 110.235.105.128 (talk) 04:54, 12 December 2023 (UTC)[reply]
See also points raised in this WP:NOR/N discussion from Oct/Nov 2023:
Wikipedia:No original research/Noticeboard/Archive 50 § Intel 7, 10nm/7nm process. — AP 499D25 (talk) 01:26, 7 May 2024 (UTC)[reply]
The entire basis on which this article and others (10 nm process, 5 nm process, etc) are named, should be called into question. Mike Richardson (talk) 10:21, 22 September 2023 (UTC)[reply]

SMIC "estimated" equivalent nodes[edit]

I have searched through many sources to find the transistor densities for SMIC's N+1 and N+2 nodes, and I realized that the sources are inconsistent regarding what "equivalent" node they assign to each process.

Some sources suggest that N+1 is a less advanced node than 7 nm while N+2 is equivalent to 7 nm. This is consistent with the performance and power consumption metrics reported by all sources. It is also the data that is shown on this article (>7 nm and 7 nm, respectively).

Other sources refer to N+1 as equivalent to 8 nm, while N+2 is equivalent to 6 nm. This is consistent with the physical measures reported for chip elements, and notably transistor density, which for N+2 is similar to Samsung's 6LPP or TSMC's N6.

Finally, leaked screenshots from Huawei's N+2 chip-bearing phone seem to show the SoC as produced on a 5 nm node, which does not seem to correlate with the above.

Since this article does not give an explicit source for its choice and "practical" measures diverge so much from "theoretical" ones, I wonder if the best way to proceed would be simply to remove the equivalent nodes altogether, and only show "N+1" and "N+2" at the top of the table. — Preceding unsigned comment added by A. Erkiaga (talkcontribs) 20:40, 6 September 2023 (UTC)[reply]